Главная Упрощенный режим Описание
Авторизация
Фамилия
Пароль
 

Базы данных


Статьи- результаты поиска

Вид поиска

Область поиска
Формат представления найденных документов:
полныйинформационныйкраткий
Отсортировать найденные документы по:
авторузаглавиюгоду изданиятипу документа
Поисковый запрос: (<.>A=Челебаева, Ю. А.$<.>)
Общее количество найденных документов : 3
Показаны документы с 1 по 3
1.


    Челебаева, Ю. А. (аспирант).
    Разработка нечеткой модели комплексной интерпретации признаков на основе анализа сигналов кардиоритмограммы [Текст] / Ю. А. Челебаева // Биомедицинская радиоэлектроника. - 2020. - № 3. - С. 85-93 : 3 рис. - Библиогр.: с. 92 (4 назв.). - Реф. на англ. яз.

Рубрики: Здравоохранение. Медицинские науки

   Кардиология и ангиология


   Радиоэлектроника


   Радиоэлектроника в целом


   
Кл.слова (ненормированные):
аналитические зависимости -- аритмия -- вентрикулярная тахиаритмия -- застойная сердечная недостаточность -- искусственные нейронные сети -- кардиоритмограмма -- мобильное промежуточное приложение -- нечеткая логика -- программируемые логические интегральные схемы
Аннотация: Разработана структура нечеткой системы комплексной интерпретации выявленных признаков. Предложены аналитические зависимости для фаззификации входных четких числовых значений.

Найти похожие

2.


    Челебаев, С. В. (кандидат технических наук; доцент).
    Преобразователи частотно-временных параметров биосигналов в код двух переменных на основе применения многослойных персептронов [Текст] / С. В. Челебаев, Ю. А. Челебаева // Биомедицинская радиоэлектроника. - 2019. - № 4. - С. 60-67 : 12 рис., 4 табл. - Библиогр.: с. 66 (6 назв.). - Реф. на англ. яз.

Рубрики: Здравоохранение. Медицинские науки

   Здравоохранение и медицинские науки в целом


   Вычислительная техника


   Вычислительная техника в целом


   
Кл.слова (ненормированные):
биосигналы -- временные интервалы -- искусственная нейронная сеть -- многослойные персептроны -- преобразователи -- программируемые логические интегральные схемы -- цифровые коды -- частотно-временные параметры
Аннотация: Предложены нейросетевые структуры преобразователей частотно-временных параметров биосигналов в цифровой код на основе двухслойного и трехслойного персептронов на математическом уровне описания.
Доп.точки доступа:
Челебаева, Ю. А. (аспирант)


Найти похожие

3.


    Мельник, О. В. (доктор технических наук; профессор).
    Методика синтеза нейросетевой подсистемы обработки сигналов кардиоритмограммы [Текст] / О. В. Мельник, С. В. Челебаев, Ю. А. Челебаева // Биомедицинская радиоэлектроника. - 2019. - № 4. - С. 45-52 : 1 рис., 3 табл. - Библиогр.: с. 51 (4 назв.). - Реф. на англ. яз.

Рубрики: Здравоохранение. Медицинские науки

   Медицинская радиология и рентгенология


   Кардиология и ангиология


   Радиоэлектроника


   Радиоэлектроника в целом


   
Кл.слова (ненормированные):
датчики пульса дыхания -- искусственная нейронная сеть -- кардиоритмограмма -- методика проектирования -- нейросетевая подсистема обработки сигналов -- нейросетевые технологии -- программируемые логические интегральные схемы -- сердечный ритм
Аннотация: Выполнена постановка задачи проектирования подсистемы обработки сигналов кардиоритмограммы на основе нейросетевых технологий.
Доп.точки доступа:
Челебаев, С. В. (кандидат технических наук; доцент)
Челебаева, Ю. А. (аспирант)


Найти похожие

 
Статистика
за 02.06.2024
Число запросов 35352
Число посетителей 1112
Число заказов 0
© 2006-2022 Поволжский государственный технологический университет, ФГБОУ ВО «ПГТУ».