Главная Упрощенный режим Описание
Авторизация
Фамилия
Пароль
 

Базы данных


Статьи- результаты поиска

Вид поиска

Область поиска
Формат представления найденных документов:
полный информационныйкраткий
Отсортировать найденные документы по:
авторузаглавиюгоду изданиятипу документа
Поисковый запрос: (<.>A=Челебаев, С. В.$<.>)
Общее количество найденных документов : 2
Показаны документы с 1 по 2
1.

Вид документа : Статья из журнала
Шифр издания :
Автор(ы) : Челебаев С. В. (кандидат технических наук; доцент), Челебаева Ю. А.
Заглавие : Преобразователи частотно-временных параметров биосигналов в код двух переменных на основе применения многослойных персептронов
Разночтения заглавия :: Converters of time-and -frequency parameters of biosignals in the code of two variables on the basis of multilayer perceptrons application
Место публикации : Биомедицинская радиоэлектроника. - 2019. - № 4. - С. 60-67: 12 рис., 4 табл.
Примечания : Библиогр.: с. 66 (6 назв.). - Реф. на англ. яз.
Предметные рубрики: Здравоохранение. Медицинские науки
Здравоохранение и медицинские науки в целом
Вычислительная техника
Вычислительная техника в целом
Географич. рубрики:
Ключевые слова (''Своб.индексиров.''): биосигналы--временные интервалы--искусственная нейронная сеть--многослойные персептроны--преобразователи--программируемые логические интегральные схемы--цифровые коды--частотно-временные параметры
Аннотация: Предложены нейросетевые структуры преобразователей частотно-временных параметров биосигналов в цифровой код на основе двухслойного и трехслойного персептронов на математическом уровне описания.
Найти похожие

2.

Вид документа : Статья из журнала
Шифр издания :
Автор(ы) : Мельник О. В. (доктор технических наук; профессор), Челебаев С. В., Челебаева Ю. А.
Заглавие : Методика синтеза нейросетевой подсистемы обработки сигналов кардиоритмограммы
Разночтения заглавия :: The synthesis technigue of a neural network subsystem of cardiorhytmogram signal processing
Место публикации : Биомедицинская радиоэлектроника. - 2019. - № 4. - С. 45-52: 1 рис., 3 табл.
Примечания : Библиогр.: с. 51 (4 назв.). - Реф. на англ. яз.
Предметные рубрики: Здравоохранение. Медицинские науки
Медицинская радиология и рентгенология
Кардиология и ангиология
Радиоэлектроника
Радиоэлектроника в целом
Географич. рубрики:
Ключевые слова (''Своб.индексиров.''): датчики пульса дыхания--искусственная нейронная сеть--кардиоритмограмма--методика проектирования--нейросетевая подсистема обработки сигналов--нейросетевые технологии--программируемые логические интегральные схемы--сердечный ритм
Аннотация: Выполнена постановка задачи проектирования подсистемы обработки сигналов кардиоритмограммы на основе нейросетевых технологий.
Найти похожие

 
Статистика
за 01.07.2024
Число запросов 34168
Число посетителей 538
Число заказов 0
© 2006-2022 Поволжский государственный технологический университет, ФГБОУ ВО «ПГТУ».